Chipmakers Defend the Place of “Forever Chemicals” as Ban Looms

2023-04-13

Chipmakers Defend the Place of “Forever Chemicals” as Ban Looms

PFAS, essential materials in semiconductor manufacturing, are under legislative scrutiny. Their ban may affect chip manufacturers worldwide.

Per- and poly-fluoroalkyl substances (PFAS) are a group of artificial chemicals used in various industrial and consumer applications since the 1950s. These compounds have several critical applications in the semiconductor industry. However, due to their adverse effects on human health and the environment, regulations and guidelines are being implemented to limit the use and disposal of PFAS in semiconductor manufacturing and other industries.

One example of a PFAS compound

This article summarizes some of the legislative actions to ban PFAS, sometimes called "forever chemicals," and how this ban may affect chipmakers. 

 

Role of PFAS in the Semiconductor Industry

One of the most common uses of PFAS in the semiconductor industry is the photolithography process—a crucial step in manufacturing microchips. During photolithography, a thin layer of photoresist is applied to a silicon wafer, which is then exposed to UV light through a photomask to transfer a pattern onto the wafer. The photoresist acts as a mask to protect the parts of the wafer that should not be etched or deposited while the exposed areas are selectively removed or modified. PFAS are added to the photoresist to improve its adhesion to the silicon wafer, increase its durability, and enhance its resistance to harsh chemicals and high temperatures.

The photoresist application process in photolithography.

 

In addition to their use in photolithography, PFAS are crucial in producing other semiconductor components, such as interconnects and packaging materials. PFAS-based materials can help create low-k dielectrics and insulators that reduce the capacitance between conductive lines in the chip, leading to faster processing speeds and reduced power consumption. PFAS are also used in packaging materials to improve thermal stability and moisture resistance.

Besides their application in chipmaking, PFAS are also essential for semiconductor manufacturing equipment and factory infrastructure. Their exceptional properties, such as heat resistance and chemical inertness, make them useful in equipment components (tubing, gaskets, containers, filters, etc.) and lubrication (such as various oils and greases).

 

Regulating PFAS and Its Effects on Chipmakers

The United States has taken several steps to regulate PFAS in the semiconductor industry at both the state and federal levels. In 2020, the Environmental Protection Agency (EPA) added 172 PFAS to the Toxics Release Inventory (TRI), requiring companies to report their use of these elements to regulatory authorities to monitor their environmental impact. 

Many states have banned PFAS for food packaging, firefighting foam, and personal care products. Maine restricts the substance from all products sold in the state in a new law effective in 2023. The new regulation involves intermediate deadlines to allow industries to adapt. The European Union (EU) also proactively regulates PFAS in the semiconductor industry. In 2019, the EU added PFAS to its list of restricted substances under the Registration, Evaluation, Authorization, and Restriction of Chemicals (REACH) regulation, limiting their use in consumer products and electronics, including semiconductors.Those working in semiconductor clean rooms wear special equipment not only to prevent wafer defects but also to protect themselves from the chemicals used in manufacturing. 

These regulations are likely to have a significant impact on chipmakers like Intel. These companies must find alternatives for PFAS-based materials currently used in their manufacturing processes. This may entail considerable research and development efforts to find suitable replacements that meet the performance requirements of the industry. Additionally, regulations may increase the cost of production for chipmakers, as they may need to invest in new equipment and processes.

Regulations may also disrupt the supply chain for chipmakers, leading to shortages or delays in manufacturing semiconductor components.

 

Viable Alternatives to PFAS

Researchers are searching for viable alternatives to PFAS in the semiconductor industry, but finding replacements that provide comparable performance and reliability is a complex challenge.

One potential alternative to PFAS in photolithography is metal oxide-based materials, such as zirconium oxide or titanium oxide. They offer comparable adhesion and chemical resistance to PFAS-based materials while being more environmentally friendly. Some researchers have also developed photoresists based on hydroxy styrene, a polymer without fluorine atoms. This material shows promising results in terms of patterning performance and environmental safety, but it needs further development to optimize its properties and enable its use in industry.

While there are promising replacements for PFAS, further research and development are needed to optimize their properties and ensure their compatibility with existing manufacturing processes. Chipmakers will also need to carefully manage the implementation of alternative materials to ensure they can maintain the performance and reliability of their products while minimizing their impact on the environment and human health.

Top